Artwork

Innehåll tillhandahållet av Francoise von Trapp. Allt poddinnehåll inklusive avsnitt, grafik och podcastbeskrivningar laddas upp och tillhandahålls direkt av Francoise von Trapp eller deras podcastplattformspartner. Om du tror att någon använder ditt upphovsrättsskyddade verk utan din tillåtelse kan du följa processen som beskrivs här https://sv.player.fm/legal.
Player FM - Podcast-app
Gå offline med appen Player FM !

3D InCites Members Share Perspectives from SEMICON West 2024

1:45:02
 
Dela
 

Manage episode 431845244 series 2935206
Innehåll tillhandahållet av Francoise von Trapp. Allt poddinnehåll inklusive avsnitt, grafik och podcastbeskrivningar laddas upp och tillhandahålls direkt av Francoise von Trapp eller deras podcastplattformspartner. Om du tror att någon använder ditt upphovsrättsskyddade verk utan din tillåtelse kan du följa processen som beskrivs här https://sv.player.fm/legal.

Send us a text

This week’s episode was recorded live at SEMICON West where 10 of our community members have news to share. The conversation focused on the latest advancements in semiconductor technology, including lithography, packaging, and materials. Speakers discussed the need to reduce power consumption in AI chips, the potential of using interposers to connect dies without pads, and the ongoing talent crisis in the industry. They also highlighted the importance of sustainability and the potential for the industry to reach a trillion-dollar goal by 2030. Additionally, speakers discussed the expansion of companies in North America, the significance of UV laser debonding, and the investment in electric vehicles and carbon initiatives.
Speakers include:

SEMI
A global association, SEMI represents the entire electronics manufacturing and design supply chain.
Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.
Support the show

Become a sustaining member!
Like what you hear? Follow us on LinkedIn and Twitter
Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.
Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2024 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

  continue reading

Kapitel

1. 3D InCites Members Share Perspectives from SEMICON West 2024 (00:00:00)

2. Ken MacWilliams, Multibeam (00:01:10)

3. Ram Trichur, Henkel Corporation (00:10:59)

4. Alan Weber, PDF Solutions (00:20:31)

5. Nirmalya Maity and Zia Karim, YES (00:30:10)

6. Martin Elzingre and Jeremy Sanner, Edwards Vacuum (00:44:17)

7. David Kirsch and Thomas Uhrmann, EV Group (00:53:10)

8. Spencer Wall and Robert Green, DSV-IMS (01:03:55)

9. Chuck Byers, Monozukuri (MZ Technologies) (01:15:18)

10. Meg Conkling, Veeco Instruments (01:24:25)

11. Bernd Krafthoefer, ERS Electronic, GmbH (01:33:42)

143 episoder

Artwork
iconDela
 
Manage episode 431845244 series 2935206
Innehåll tillhandahållet av Francoise von Trapp. Allt poddinnehåll inklusive avsnitt, grafik och podcastbeskrivningar laddas upp och tillhandahålls direkt av Francoise von Trapp eller deras podcastplattformspartner. Om du tror att någon använder ditt upphovsrättsskyddade verk utan din tillåtelse kan du följa processen som beskrivs här https://sv.player.fm/legal.

Send us a text

This week’s episode was recorded live at SEMICON West where 10 of our community members have news to share. The conversation focused on the latest advancements in semiconductor technology, including lithography, packaging, and materials. Speakers discussed the need to reduce power consumption in AI chips, the potential of using interposers to connect dies without pads, and the ongoing talent crisis in the industry. They also highlighted the importance of sustainability and the potential for the industry to reach a trillion-dollar goal by 2030. Additionally, speakers discussed the expansion of companies in North America, the significance of UV laser debonding, and the investment in electric vehicles and carbon initiatives.
Speakers include:

SEMI
A global association, SEMI represents the entire electronics manufacturing and design supply chain.
Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.
Support the show

Become a sustaining member!
Like what you hear? Follow us on LinkedIn and Twitter
Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.
Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2024 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

  continue reading

Kapitel

1. 3D InCites Members Share Perspectives from SEMICON West 2024 (00:00:00)

2. Ken MacWilliams, Multibeam (00:01:10)

3. Ram Trichur, Henkel Corporation (00:10:59)

4. Alan Weber, PDF Solutions (00:20:31)

5. Nirmalya Maity and Zia Karim, YES (00:30:10)

6. Martin Elzingre and Jeremy Sanner, Edwards Vacuum (00:44:17)

7. David Kirsch and Thomas Uhrmann, EV Group (00:53:10)

8. Spencer Wall and Robert Green, DSV-IMS (01:03:55)

9. Chuck Byers, Monozukuri (MZ Technologies) (01:15:18)

10. Meg Conkling, Veeco Instruments (01:24:25)

11. Bernd Krafthoefer, ERS Electronic, GmbH (01:33:42)

143 episoder

Alla avsnitt

×
 
Loading …

Välkommen till Player FM

Player FM scannar webben för högkvalitativa podcasts för dig att njuta av nu direkt. Den är den bästa podcast-appen och den fungerar med Android, Iphone och webben. Bli medlem för att synka prenumerationer mellan enheter.

 

Snabbguide